Canon has developed a 5nm lithograph that costs less than the system from ASML. But there is a nuance

In today’s electronics design and manufacturing industry, whoever has the lithographers rules the roost. You can have your own processor architecture and advanced designs, like Huawei, but if there is no contract chip manufacturer, nothing good will come of it.

There are no competitors at all in the market for lithographs and other systems for the production of advanced microcircuits. There is an almost complete monopoly here, with minor reservations. We are, of course, talking about systems for producing truly modern chips. And the other day it became knownthat there are more players in this market. Details are under the cut.



What kind of system is this?


We are talking about a nanoimprint lithography (NIL) tool. This is a technology designed to transfer an image of a nanostructure or electronic circuit onto a coated substrate and involves deforming the coating with a stamp, followed by etching the deformed coating and forming a nanostructure or electronic circuit elements on the substrate.

Wikipedia tells usthat in nanoprinted lithography the image is formed due to mechanical deformation of the polymer coating (resist) by a mold (stamp), and not by changing the chemical structure of the coating using irradiation, as in exposure lithography. Elimination of resist irradiation through a mask from the technological process simplifies production. Using nanoprinting lithography, it is possible to obtain nanostructures with a size of less than 10 nm over fairly large areas, which is inaccessible to all other lithography methods.

Canon not only developed its system, but also began production. Moreover, deliveries of equipment to customers have begun. And there is an interesting feature here – installation costs about 10 times less than lithographs from the Dutch company ASML. It is not known exactly how much such a system will cost. But the approximate framework was outlined by the head of Canon, Fujio Mitarai.

He stated that modern EUV systems cost more than $150 million. Canon expects its NIL lithography press to cost around $15 million, although the price is “to be determined.” According to the manufacturer, the cost of the device makes it possible for relatively small companies to engage in chip production.

The name of the unit supplied by the Japanese is FPA-1200NZ2C. The Canon executive said that the system is not only much less expensive, it is also more energy efficient. So here too it outperforms systems from ASML. True, all these statements still need to be verified, since deliveries have just started, and no one, except Canon and a small number of partners, has tested the system in practice.

What is the difference between the Canon rig and the ASML lithographs?


It’s all about the differences between deep ultraviolet (DUV) and extreme ultraviolet (EUV) photolithography technologies, as well as nanoimprint lithography. The difference, at the same time, gives both advantages and disadvantages to the Japanese installation.

In the case of DUV and EUV, a circuit pattern is projected onto a wafer with a resistive layer. In this case, light passes through an optical system containing a mask with a pattern that must be repeated on the chip. The light then hits the photoresist (a polymer light-sensitive material) covering the surface of the silicon. Accordingly, when exposed to ultraviolet radiation, the resistive layer in the corresponding places of the plate becomes soluble and is removed by organic solvents. Next, the plate is processed completely.

As for nanoprinted lithography, here a circuit design is applied to the mask, which is stamped on a photo-resistant layer. Accordingly, there is no need to use sophisticated optics to transfer the pattern. The result is more accurate reproduction of different solutions. The advantage of this technology is the creation of complex two- or three-dimensional circuits in just one “pass,” which significantly reduces the cost of chip production.

And here comes the nuance


It would seem that NIL systems are good for everyone. They are both accurate and energy efficient. But the problem is that with photolithography you can work with entire wafers, but with nanoprinting technology this is impossible. Accordingly, DUV and EUV lithography equipment is capable of producing more chips per unit time. How much more, unfortunately, is unknown; these details have not yet been disclosed.

Another drawback is that another condition for the normal operation of NIL systems is the utmost cleanliness of the rooms in which these systems are installed. Otherwise, the level of defects in manufactured chips increases—extremely small particles can have an impact. And this makes production more expensive, since maintaining virtually sterile production conditions requires significant resources.

All this suggests that NIL systems are unlikely to provide significant competition to lithographs from ASML if the customer needs large volumes of chips. But, as mentioned above, relatively small companies will be able to produce chips for their own needs, which means that the role of purchasing chips from large contract manufacturers will decrease slightly. Perhaps there will be increased competition between manufacturers of electronic devices that use these chips.

And it is still unknown whether US sanctions will apply to Canon equipment. If not, Chinese companies will most likely be able to purchase such lithographs en masse for their needs. And this is a real solution for the electronics industry of China. And other countries will also be able to start production without being dependent on large contract manufacturers.

It remains to wait a little, and then it will become clear what NIL systems from Canon are capable of and what impact they can have on the market.

Read also


Similar Posts

Leave a Reply

Your email address will not be published. Required fields are marked *